CSES - Datatähti 2018 alku - Results
Submission details
Task:Bittijono
Sender:FSMnArmosta
Submission time:2017-10-03 15:57:32 +0300
Language:Haskell
Status:COMPILE ERROR

Compiler report

Output limit exceeded in compilation

Code

{-

Inspiraatiota luntin taulun muodostukseen, komentokieli python3; teknisesti vielä haskellin kielinen palautus

from itertools import combinations

def number(chain):
    q = 0
    for i in range(1, len(chain)+1):
        q += len(set(["".join(x) for x in combinations(chain, i)]))
    return q


d = {}
for l in range(1, 20):
    for i in range(2**l):
        if i % 10000 == 1:
        bitstring = "0".join(format(i, str(l)+"b").split(" "))
        if bitstring[0] == "1":
            continue
        n = number(bitstring)
        if not n in d.keys():
            d[n] = bitstring
print('"'.join(str(d).split("'")))

Kuin pullataikina, tämä vastus jäi hauteille yön yli.

-}

main :: IO ()
main = do
    num <- getLine
    let luntinTaulu = ["0", "00", "01", "0000", "001", "010", "0001", "0011", "0010", "0110", "0101", "00010", "00100", "01110", "00110", "00101", "01001", "011110", "01010", "000110", "000101", "001100", "001011", "001001", "001101", "0000101", "001010", "010010", "010110", "0001011", "0001001", "010101", "0001101", "0011101", "0001010", "00001100", "0100010", "0010100", "0010010", "00100001", "0010110", "0011010", "0110110", "0100110", "0010101", "00011011", "0100101", "0101001", "00010100", "00010010", "00011001", "001111101", "0101010", "00100100", "00011010", "00110011", "00111010", "00010101", "00101100", "01001110", "00100110", "00110110", "00101011", "01010001", "00100101", "00101101", "00101001", "01100110", "00110101", "001000100", "01001101", "01101001", "01011001", "00101010", "000111010", "000101100", "01001010", "01011010", "01010110", "001011100", "000101011", "000110010", "000100101", "001100010", "000101101", "000101001", "01010101", "0000111001", "001010001", "000110101", "001001011", "011001110", "001110101", "0011100001", "000101010", "001010011", "001100110", "001001101", "001101101", "0000110110", "010001010", "001011001", "001010100", "001100101", "010101110", "001001010", "001010010", "0001000101", "001011010", "001010110", "0000110101", "0001011101", "001101010", "010110110", "010010110", "0000101010", "010011010", "0100010001", "010100110", "0010011100", "001010101", "0001110101", "0001010011", "0111001110", "0001001101", "010010101", "010100101", "0100011101", "010101001", "0010001101", "0001011001", "0001010100", "0011100110", "0001101001", "0001001010", "0010010011", "0001010010", "0010001010", "0011000101", "0001011010", "0001010110", "010101010", "0011100101", "0010111010", "0010110011", "0010101110", "0001101010", "01011111001", "0100111001", "0010011001", "0010010010", "0010110100", "0011011001", "00001101011", "0001010101", "0100011010", "0010101100", "0010010110", "0010110110", "00001011001", "0010011010", "00100001101", "0010110010", "0011010010", "0010100110", "0110110110", "0011010110", "0010101011", "0011001010", "0100100110", "0100110110", "00001010110", "0010010101", "0100110010", "0010101101", "0010100101", "0010110101", "00011010001", "0010101001", "00011000101", "0100100101", "00011101001", "0100101101", "0011010101", "0101001001", "0100101001", "00010010100", "00010100100", "0101101001", "0100110101", "0110101001", "0101100101", "00111000101", "0101011001", "00010110100", "00100100010", "0010101010", "00100011001", "00011011001", "00110010001", "00010010110", "00100110001", "00110111001", "00010110110", "0100101010", "0101001010", "0101011010", "00101110110", "00010110010", "0101010110", "00010100110", "00011011010", "00011010010", "000011101101", "00010101011", "00100111010", "00011010110", "01101101110", "00011001010", "00010010101", "00110101110", "000100011101", "00101001110", "00010101101", "00010100101", "000010111010", "00010110101", "01000110110", "00010101001", "01001001110", "0101010101", "00111001010", "00100100110", "00101001100", "00100110110", "01001000101", "00101010001", "00110101100", "00011010101", "00100101011", "00110010010", "000011001001", "01000101001", "00110110010", "00101001011", "01001010001", "00111010101", "00100100101", "00101100110", "000101101000", "00100101101", "00010101010", "01000110101", "00110011010", "00100101001", "00101001001", "01011000101", "000101110100", "01010111001", "000110001001", "00100110101", "01010110001", "00101001101", "00110110101", "00110101101", "01001100110", "00101100101", "01000101010", "00101011001", "01010001010", "01001001101", "00110101001", "00101010100", "000010100101", "00110010101", "000110011011", "000010110101", "01010101110", "01011011001", "01011001001", "00100101010", "01001101001", "001010111101", "00101010010", "00101001010", "01100101001", "00101011010", "00101101010", "000111010010", "01010011001", "00101010110", "000110100100", "01001001010", "000011010101", "01001010010", "000100100110", "01011011010", "001101100011", "00110101010", "01001011010", "01010110110", "001011011100", "01001010110", "000100110010", "01011010110", "01010010110", "000010101010", "000110110110", "01001101010", "01101010110", "01010011010", "000110010010", "000101001011", "000101101011", "01010100110", "010000110101", "000110110010", "001100010010", "000100101101", "00101010101", "001010011100", "001010001011", "000101101101", "000100101001", "000110010110", "000101010011", "000101001001", "001001100100", "000110011010", "001001001100", "000110101011", "000100110101", "01001010101", "010100001010", "01010010101", "01010100101", "000101101001", "001101101100", "001011000110", "001101001110", "01010101001", "000101100101", "000110110101", "000101011001", "001000110101", "001101011101", "001011101001", "0001111010100", "000101010100", "001010011101", "001010001101", "010001001101", "000110101001", "001101000101", "001110101101", "000110010101", "000100101010", "001011100101", "001010111001", "001001001001", "001001101011", "001110100101", "000101010010", "000101001010", "001000101010", "001100010101", "000101011010", "010001011001", "000101101010", "001100110110", "001001001101", "000101010110", "001010001010", "001011010011", "01010101010", "010010111001", "001010111010", "010001100101", "001011101010", "010001001010", "001010110011", "0000100100101", "0000101101011", "0010000101011", "001001011001", "010100011001", "001001101001", "000110101010", "001001010100", "010100110001", "001001100101", "001101101001", "010001011010", "001010010100", "001100100101", "001011001101", "0000101010011", "001100101101", "001010110100", "010111010110", "001001001010", "010100010110", "010110101110", "001001010010", "001010010010", "010100101110", "001101011001", "011011001001", "000101010101", "011010101110", "001001011010", "0001110110110", "001011011010", "001010101100", "001001010110", "010010010010", "001010110110", "0001001011101", "0000101011001", "010101000110", "0001101110010", "0000110110101", "001010010110", "001001101010", "010101001110", "0001001101100", "0001011100110", "001010110010", "001101101010", "001010011010", "001101010010", "001011001010", "0000100101010", "001101011010", "001101001010", "001010100110", "0000110010101", "0001001001011", "010100010101", "0011110011010", "001101010110", "0000101010010", "001010101011", "010011011010", "0001011101001", "001100101010", "010010110010", "0001010001101", "0001101011101", "0000101101010", "010100100110", "0001011001100", "010010100110", "011010010110", "010011010110", "001001010101", "0001001001001", "0010101000010", "0001001101011", "010110100110", "001010101101", "0001000101010", "001010010101", "001010110101", "001010100101", "010110011010", "001011010101", "0100001101001", "0100010011101", "0010111101010", "010101100110", "001010101001", "0001001101101", "0001010100010", "0001011001011", "010010010101", "0000110101010", "0100011000110", "0001011010011", "010010101101", "0010001100110", "0001010111010", "010010100101", "0110110001110", "001101010101", "0001011101010", "0001010110011", "010101001001", "0001001011001", "0011000100110", "010010101001", "0001001101001", "010100101001", "0001001010100", "0010011000110", "010110101001", "010101101001", "0000101010101", "0100101011110", "0011001100010", "010011010101", "0001101010011", "010100110101", "00001011101011", "010101100101", "0101001011110", "0001011001101", "00001001001100", "0001001001010", "0001010110100", "010101011001", "0001010011001", "0001001010010", "00010000101001", "0001100101101", "00011101000100", "0001010010010", "0001101100101", "001010101010", "0001101001101", "0010010001010", "0001001011010", "00001011001110", "0001100101001", "0010100100010", "0010100010010", "0001001010110", "0001101011001", "0001010101100", "00001001011011", "0011000101101", "0001100110101", "0001010110110", "0001011010010", "0010001011010", "0100010011001", "0001101010100", "0010110111010", "0001001101010", "010010101010", "0010010111010", "0011010111001", "010100101010", "010101101010", "010101011010", "0010100111001", "0001010110010", "0100110001001", "0001010011010", "0010011010011", "0110001100110", "0100110111001", "010101010110", "0010010010100", "0010100010110", "00010011110101", "0001010100110", "0011000110101", "0001101010010", "0010001101010", "0010100101110", "00011000100100", "0001101011010", "0001101001010", "0010010011001", "0001010101011", "0010011101010", "0010100110011", "0010010010010", "0011101101010", "0010010110100", "0001101010110", "0010100011010", "0010110110100", "0010100111010", "0010110001010", "0001100101010", "0011101010010", "0001001010101", "0010011001101", "0010101000110", "00100011100010", "0011010101110", "00001011010011", "0011001101101", "0100100011010", "0010011010100", "0010101001110", "0001010101101", "0010010101100", "0001010010101", "0010001010101", "0001010110101", "0001010100101", "0010010010110", "0101001000110", "0010010110110", "0001011010101", "0010110110110", "0101001110110", "0010100101100", "0010101011101", "0001010101001", "0010110011001", "0100011010110", "0010010011010", "0100111010110", "010101010101", "0010011011010", "0010101000101", "0011010011001", "0010010110010", "0010011010010", "0010111010101", "0010110010010", "0011001100101", "0010101001100", "0011010010010", "0100100010101", "0010010100110", "0011011010010", "00001001010010", "0010100100110", "0010011010110", "0101001000101", "0010100110110", "0011010101100", "00011110010101", "0001101010101", "0011010110110", "0010010101011", "0010011001010", "0100101000101", "00010001011001", "0100010110101", "00010110001011", "0010100110010", "0011001001010", "0010110100110", "0010110010110", "0010100101011", "00010100101000", "00001100101001", "0100110110110", "0011010010110", "0010101001011", "0010110011010", "00010101110011", "0100101010001", "0011101010101", "0010010010101", "0010101100110", "0101011101001", "00001001101010", "0011010011010", "0100100110010", "0010010101101", "0011001010110", "0010101101101", "00001101010100", "0010010100101", "00001011010110", "0001010101010", "0010010110101", "0110101010001", "0100011010101", "0010110110101", "0110010110110", "0100111010101", "0010100101101", "0010101010011", "0010010101001", "00010111010100", "0101011100101", "0010101001001", "0101101100110", "00010001011010", "0101100110110", "00010011100101", "00010100011001", "0010100101001", "0010110100101", "0100110010110", "00011011001100", "0100110100110", "00010101011000", "0010011010101", "0010101101001", "0010110101001", "00010011001011", "0100110011010", "00001101011010", "0010101001101", "00010101110110", "0010100110101", "0101001001001", "00010010101110", "0011010101101", "0010101100101", "0100100101001", "0010110010101", "00001001010101", "0101001100110", "00011101001101", "0011010100101", "0011010010101", "0010101011001", "00001100101010", "00010001101010", "00011001001011", "0101000101010", "0101101101001", "0101011101010", "00010111010110", "0011010101001", "0100100110101", "0100110110101", "0010101010100", "0100101101001", "0100101001101", "00010011101010", "00001010110101", "0011001010101", "00011100101001", "0101100100101", "00011011101010", "00010011011001", "00100001010101", "00001011010101", "0100101100101", "0100110100101", "0101011011001", "00100010010010", "00001010101001", "0101011001001", "0100101011001", "00010011001101", "00011010111010", "0010010101010", "00011010001010", "0101101011001", "00010101000110", "0101001011001", "00011001100110", "0101001101001", "00010011010100", "0010101010010", "0100110010101", "00010001010101", "0010100101010", "0010101001010", "00101011110101", "0010101101010", "0010101011010", "00010010010110", "00011010101110", "0010110101010", "00010010110110", "00010101101100", "01101110101110", "00101111010101", "00010100110100", "0101010011001", "00010110010100", "0010101010110", "00011000101010", "00010100101100", "01010000100101", "00010010011010", "00100100111001", "0100100101010", "00010110101100", "00001101010101", "00010011011010", "0101001001010", "00101010100001", "0100101010010", "00100100100100", "0101011011010", "0100101001010", "00101110110110", "00011010110100", "00100101101110", "01010011101110", "0100101011010", "0011010101010", "0100101101010", "00010101001100", "00011001011001", "0101101011010", "0101010110110", "00011010011001", "0101001011010", "00100110111010", "00010100100110", "0100101010110", "00010101010001", "00011001010100", "00010100110110", "0101011010110", "0101001010110", "00100110100010", "0101101010110", "00100010100110", "0101010010110", "00010101011011", "00001010101010", "00101001011100", "00100011010010", "00100110010011", "00100100111010", "0100110101010", "00010100110010", "00110011001100", "0110101010110", "0101001101010", "00011010110110", "0101010011010", "00010101101011", "00100101110010", "00101101100010", "00100111010010", "00101001000110", "00100011010110", "00010101001011", "00010010010101", "00010110101011", "0101010100110", "00011001010010", "00110010001010", "00110100111001", "00100011001010", "00010101100110", "00010010101101", "00101000110110", "00011010010110", "00011011001010", "00111011010110", "00010010100101", "00100110001010", "0010101010101", "00010101101101", "00010010110101", "00101001100010", "00100100101100", "00010100100101", "01000101010001", "00101100101110", "00011010011010", "00100101101100", "00011001010110", "01001110110110", "00010010101001", "00010110110101", "00010100101101", "00101100010110", "00010101010011", "00100101011101", "00010110101101", "00110101100010", "00101000100101", "00010101001001", "00011001101010", "00100010110101", "00110011010011", "00100101000101", "00101101110101", "00101011100110", "00010100101001", "00011010101011", "01010110001110", "00100010101001", "00010011010101", "000010110101110", "0100101010101", "00101011001110", "00100101001100", "00101010001001", "00010101101001", "0101001010101", "0101010010101", "00010110101001", "0101010100101", "00100110011001", "00010101001101", "00111010100110", "00010100110101", "00100101010001", "01101100101110", "00101000101001", "00101001101100", "01011011100110", "00010101100101", "000010011001101", "01011001110110", "0101010101001", "00010110010101", "00101100110100", "00100101011011", "01101101001110", "00100100101011", "00011011010101", "00010101011001", "00101011101001", "00011010101101", "00101110101001", "00100011010101", "01011011001110", "00011010110101", "00111001101010", "00101101010001", "00101011010001", "00110011001101", "00011010100101", "00011010010101", "00110100101100", "00010101010100", "00100101001011", "01001010010001", "000011101101010", "00101001001011", "000100010011001", "00101011001100", "01001001010001", "00101010001101", "00101000110101", "00011010101001", "01011011101001", "01000100110101", "01011010001001", "00100100100101", "00100101100110", "00101011100101", "00101011000101", "00011001010101", "00100110010110", "01100101001110", "00010010101010", "00100110100110", "000010100010101", "00101100110110", "00100100101101", "00100101101101", "01011011010001", "00101100100110", "00101101101101", "00100110011010", "000010101000101", "00111010010101", "00100110101011", "00110110100110", "01101101010001", "00101010110001", "00010101010010", "00100101010011", "01001001110101", "00010100101010", "00111010101001", "00010101001010", "00101001101011", "00101001001001", "00010101101010", "00010101011010", "00110011010010", "00110110011010", "000110010100111", "01010110111001", "00101001010011", "00010110101010", "00101011001011", "00101001100110", "000010100110110", "01010110001001", "000010011001010", "00101010100010", "000101111010101", "00010101010110", "000011001100101", "00100100110101", "01100110110110", "00101000101010", "01000110101001", "00100110110101", "00100101101001", "0101010101010", "01011010111001", "00100110101101", "01010110110001", "00101001101101", "00101001001101", "00110110110101", "01001010110001", "01010001101001", "000100101101110", "00101110101010", "000110001101011", "01010011101001", "00110110101101", "00100101100101", "00101010110011", "01010001100101", "00100110100101", "01010011000101", "000010101001011", "01001100110110", "00101100100101", "00100101011001", "01001100100110", "01001101100110", "000100110011011", "00101010101110", "000011001001010", "00100110101001", "01000101010010", "00101101001101", "00110101010011", "00101011001001", "001010011011110", "00011010101010", "01001010001010", "00110100101101", "00100101010100", "00110101001001", "01001100110010", "00100110010101", "00101001011001", "000011010010110", "000100110110011", "00101001101001", "01000101101010", "00101100101001", "01101001001001", "000011001011010", "01001011101010", "00101011001101", "000100010101011", "00101001010100", "00110100101001", "00110101101001", "00101001100101", "00110010101101", "00101011010100", "01010010111010", "000011001010110", "00101100110101", "00110110010101", "00101010110100", "00110010100101", "01010001010110", "00110101001101", "00110010110101", "01001010101110", "00111010101010", "00100100101010", "00101010011001", "00110101100101", "01010100010110", "00110100110101", "01001011011001", "01001001101001", "01001101001001", "01001011001001", "00100101010010", "000010110100101", "00110010101001", "00101010010010", "00100101001010", "001000101110010", "000011010101011", "00101001001010", "01001001100101", "000100100101100", "01001100100101", "00010101010101", "01100101001001", "01100100101001", "00100101101010", "00101011011010", "01000110101010", "00110011010101", "01001011001101", "00101101101010", "000101101100100", "01001110101010", "000101100101110", "000110001001010", "00101010101100", "01001101001101", "00100101010110", "00101101010010", "01010010011001", "000101101101100", "01010100111010", "00101010110110", "00101001011010", "01001010011001", "00101101011010", "000101000100101", "01001001001010", "01001100101001", "00101101001010", "000101101001110", "01010101000110", "01001101011001", "001001101110100", "00101001010110", "00101011010110", "000110100101110", "000011011010101", "000100100100110", "000101010100111", "000011010101101", "00100110101010", "01011011011010", "00101101010110", "01001100110101", "000011010110101", "01011001011001", "000100100110110", "000101101011101", "000101000101101", "000100110110110", "00101010110010", "000011010010101", "01100110101001", "00110110101010", "00101001101010", "01011001100101", "00101011001010", "000101010010001", "00101010011010", "000111010010110", "000101011000110", "000110010100100", "00101100101010", "001000101110101", "00110101101010", "00110101001010", "01001010110110", "01010110011001", "00110101011010", "01000101010101", "00110100101010", "001111001010110", "00101010100110", "01011010110110", "000011001010101", "000100011010101", "01010010010110", "000110101100100", "01010010110110", "01010001010101", "001111001101010", "01010100010101", "000100110110010", "01001010010110", "01001011010110", "00110101010110", "000110011001001", "010001100111001", "01001001101010", "000111001011010", "00101010101011", "000010100101010", "01101010110110", "000101101010001", "000010101001010", "011010100100001", "01001010110010", "01010010011010", "00110010101010", "000010101011010", "001100011010011", "000111010101011", "01001010011010", "01101011010110", "01001101011010", "000110100110100", "01001011001010", "01001101001010", "000010110101010", "001001000110010", "000100101100110", "01010101010001", "01010100100110", "001011000111010", "01101001010110", "001001001100010", "000010101010110", "01010100110110", "01001010100110", "000101011100101", "01011001011010", "000110011010100", "000100100101101", "01001101010110", "00100101010101", "001011101010001", "000110101000101", "01010011010110", "000110100010101", "000101101100110", "01011010100110", "000101100110110", "01010110100110", "01011001010110", "000100110101011", "01010110010110", "000101100100110", "00101010101101", "01001100101010", "000100101010011", "000100010101010", "000100100101001", "00101001010101", "01010011001010", "00101010110101", "00101010010101", "00101011010101", "01100101010110", "00101010100101", "000110010110110", "000110010010110", "001010101111010", "000101001101011", "00101101010101", "000101010010011", "0000010110110101", "001001001100100", "010111010010001", "000110100100110", "001000110010110", "001000100101001", "000110110100110", "000101001010011", "01010101100110", "001001001001100", "000110011011010", "00101010101001", "001001100101110", "000101100101011", "000100100110101", "001000101001001", "001001011100110", "000101010100010", "001001010001001", "000110011010010", "000101011010011", "01001001010101", "000100101001101", "000101000101010", "000011010101010", "000101101010011", "000100110101101", "000101010001010", "001011100110110", "01010010010101", "001001110100110", "01001010101101", "001100010110110", "01010100100101", "000101001101101", "000101001001101", "001011100010101", "01001010010101", "000101101101001", "01001010110101", "000100101100101", "000110011010110", "01001010100101", "000110010100110", "0000101011010111", "00110101010101", "01001011010101", "01010010100101", "001010100100011", "000101010110011", "000100101011001", "001001010100011", "01010010110101", "01010101001001", "001010101110001", "01011010100101", "011001101101110", "001001100011010", "01010110100101", "000100110101001", "001001110011010", "01001010101001", "000110101101101", "000101010101110", "001101011101101", "000110010101011", "000101011011001", "01010100101001", "01010010101001", "000100101010100", "001011010010001", "01010110101001", "001100011011010", "01011010101001", "000100110010101", "001000110101101", "01010101101001", "000101010100100", "010110001010001", "000010101010101", "001010011101101", "000101001011001", "000110100100101", "001011001000101", "001011010100011", "000101001101001", "001001100110100", "01001101010101", "001010011000110", "000101101011001", "001110101100110", "000101100101001", "01101010101001", "01010011010101", "000101011001101", "01010110010101", "000101010010100", "01010101100101", "000110101001001", "000101001100101", "001001101001100", "001001100101100", "000110110101001", "000101011010100", "001011011100101", "010011001000110", "001001011000101", "001010110010001", "001011001001100", "000100100101010", "000110010010101", "001001101010001", "000101101010100", "01010101011001", "001001010111001", "001001110100101", "001101000101101", "000110100101001", "000110010101101", "000101010011001", "001011010011101", "000100101010010", "001001010011011", "001011101011001", "001001001101011", "010001101101101", "000100101001010", "000110010100101", "001010001010100", "000110110010101", "001000100101010", "000110010110101", "001001001010011", "000101010010010", "00101010101010", "000100101011010", "001100010010101", "000101001001010", "001010111010100", "000100101101010", "001010010010011", "000110101100101", "001010001101001", "000110100110101", "001110100101101", "001011000101001", "000101001010010", "000111010101010", "001010101110100", "000101011011010", "010100011100101", "001000101010010", "0000010101101010", "001001100100110", "000100101010110", "001000101001010", "001100010101101", "000101101101010", "001001011001011", "000110101011001", "000101010101100", "001010001001010", "001001001001101", "000101011010010", "010011001110010", "001001001101101", "001000101011010", "000101101010010", "0000111010110010", "000101001011010", "010011001000101", "001001110010101", "0000101100110100", "001000101101010", "001010111011010", "001001100110010", "000101101011010", "001101101101101", "010111001001001", "001010001010010", "0010001011110101", "000101101001010", "001101001110101", "000101001010110", "010010001100101", "001000101010110", "000100110101010", "001001011101010", "0000100111010101", "01001010101010", "001001100101011", "001110010010101", "010010011101001", "000101010010110", "0000110011001001", "001010101110110", "001001010110011", "01010010101010", "01010100101010", "01010101101010", "001100110110010", "010001010011001", "01010101011010", "001010111010010", "001010110110011", "001011101010010", "000101010110010", "001010100111001", "001010001011010", "001011010111010", "000101001101010", "001001010101110", "001010010111010", "0001001001011100", "000101011001010", "001010011001011", "000101010011010", "001011010001010", "0001110010011011", "001010001010110", "0000100100101101", "001001001101001", "0001011010100001", "01010101010110", "000101100101010", "001001011001001", "001001010100100", "001011010110011", "001010101011100", "001001001010100", "001011001001001", "010001001011010", "0001001001010111", "000110110101010", "000101010100110", "010111010011001", "001001001100101", "001011001010011", "000110101010010", "010001101011001", "010100011011001", "001000110101010", "001001100100101", "010101000111010", "010010100110001", "001001010010100", "000110101101010", "000110101001010", "001100110100110", "001010010010100", "001101001100110", "000110101011010", "001100100100101", "000110100101010", "010010101110110", "001001101100101", "010011001110101", "000101010101011", "001011001101101", "001001110101010", "001101011101010", "010001011010010", "001001011010100", "001001010110100", "001010101100010", "001010100110011", "010100111001001", "001110110101010", "001101010111010", "001010110110100", "0001001001001110", "001001010011001", "001101000101010", "000110101010110", "001001001001010", "010010010101110", "001010011101010", "001010110001010", "001010010011001", "001001100101001", "001010100011010", "001101001001101", "001101101001101", "001010100111010", "001010011001001", "001001001010010", "000110010101010", "001110101010010", "010010100010110", "001010010010010", "010010111010110", "000100101010101", "001010010110100", "001100100101001", "010100010011010", "001011010110100", "0001001010011100", "001010101000110", "010001010110010", "010010110101110", "001110101011010", "001001100110101", "001101010101110", "010110001100101", "010001010011010", "0000110110101011", "0001010001011011", "010010001101010", "001101011001001", "011010111010110", "001101100101001", "001001001011010", "0000010101010101", "001010011001101", "001001011011010", "001010101001110", "001100110110101", "000101010101101", "0001101110011001", "001001010101100", "010011010001010", "010100111011010", "000101001010101", "001011001011001", "011010110101110", "001000101010101", "000101010110101", "000101010010101", "001100010101010", "000101011010101", "001001010110110", "000101010100101", "010011001100110", "001100101001101", "001010110110110", "001011010010010", "010001101011010", "001011001100101", "001101001101001", "010001101001010", "010110010111010", "000101101010101", "010010100111010", "001010010101100", "0000100101010100", "001010110101100", "010011011001001", "001100110100101", "0001101100010010", "001010110011001", "010010111001010", "001010101011101", "001010100101100", "001001010010110", "000101010101001", "001001011010110", "001011010101100", "0000110101101101", "001010010010110", "001001001101010", "0000101010100100", "001010001010101", "001011011010110", "001011010110110", "001100110101001", "001010101110101", "001001101101010", "01010101010101", "010011101010110", "001010111010101", "001101010011001", "001001010110010", "010100011010110", "001010101000101", "001001101010010", "001110010101010", "010001100101010", "010010101001110", "001010110010010", "001001010011010", "0001001011000110", "001010110110010", "010011000101010", "001011101010101", "010100101001110", "001001011001010", "010110110110110", "001010010011010", "010100011001010", "001001101001010", "010001001010101", "001010101001100", "0000100100101010", "001101101010010", "0010100101000011", "001011001001010", "010101110011010", "011000101010110", "001011011001010", "001101011011010", "001010011010010", "001001010100110", "001011001010010", "001011010010110", "0001001100011010", "010010110110110", "001011010110010", "010110110011001", "0001001011011011", "001010101010001", "001010100100110", "001001101010110", "010110011001001", "001101001010010", "0001101110010110", "010001010101101", "001101011010010", "001010100110110", "010110010011001", "010010110011001", "0001100100011010", "010001010010101", "000110101010101", "001010010100110", "010011001101001", "0001000101011001", "010001010110101", "001001010101011", "001011010011010", "001010011010110", "001101101010110", "001001100101010", "010101011001110", "0001001010011101", "011011010110110", "010011011011010", "0001011000110010", "010010101000101", "010010011011010", "001010110100110", "001101001011010", "0001001100110100", "001011010100110", "001010110010110", "0000110101001101", "001011001010110", "001010100110010", "001100100101010", "011001100101001", "010010011010010", "0001011011010001", "001010011001010", "001010010101011", "010101011000110", "010001010101001", "010110101000101", "001100101010010", "001010100101011", "001101010010110", "0001001101001100", "0000101101101010", "001010110011010", "0010101111010100", "001100101001010", "0001000110101001", "001101100101010", "001011001101010", "001010101001011", "010100010101001", "010010010100110", "0010111001100010", "001101010110010", "010100110011001", "001100101011010", "010100100110110", "010010101010001", "001100101101010", "001101011001010", "010111010101001", "001001001010101", "0010100001001010", "001010101100110", "0010100100001010", "0000101101011010", "010100101010001", "001101010011010", "0000110011010101", "001101001101010", "0000101001010110", "010101101010001", "0001110110110101", "010110101010001", "0001101110100101", "0000101011010110", "001001010101101", "0010010100001010", "0001001101101011", "001100101010110", "0010101111011010", "001010101101101", "010101011010001", "010010011001010", "001001010010101", "010011001001010", "0001001010010011", "001001010110101", "010110010010110", "001010010010101", "0001001000101010", "001001010100101", "0001101010010001", "000101010101010", "0001101001001100", "0001011001010001", "010010110100110", "001001011010101", "011010101010001", "010010110010110", "0001010110110001", "010001101010101", "010011010010110", "001010010101101", "001010110101101", "001011011010101", "0001011010111001", "010011010110010", "011010100110110", "010011101010101", "0001011000101001", "0000101010011010", "0001101101010001", "0001001011001011", "001010010100101", "001010100101101", "010100111010101", "001001010101001", "010010110011010", "010101100010101", "010011001011010", "001010010110101", "0001010100010010", "010101101100110", "001011010110101", "001010101001001", "011001010010110", "0001001011010011", "0001110100100101", "010011010011010", "011010011010110", "0001011001110101", "0001001110010101", "001010110100101", "0001011010011011", "001011010100101", "010010010010101", "010101100100110", "0011101010001001", "001011010010101", "010101010111001", "0001000101010110", "0001001010111010", "001010010101001", "001010100101001", "010011010100110", "0001010110111010", "0010001101101011", "0001010001010010", "010110011010110", "010100101100110", "001010110101001", "010010010101101", "0001010101011000", "010100110010110", "010110010100110", "001001101010101", "001010101101001", "0100011101101101", "010100110100110", "001011010101001", "010101010110001", "0001010011100101", "010010010100101", "010010100100101", "0000110101101010", "0000110101001010", "0010001100110110", "0010100011010001", "0001110101101001", "0011001110001010", "010010010110101", "001010101001101", "0000110100101010", "011001101010110", "010100110011010", "0010101101110001", "001101101010101", "001010011010101", "011001010100110", "001010100110101", "010101001001001", "0001001101010011", "010010100101101", "001010110010101", "001101010101101", "001010101100101", "0001101000110101", "0010011101100110", "0010001101001011", "0001011101010010", "0001010010111010", "001011001010101", "010010010101001", "001101011010101", "001101010110101", "010010101001001", "0010001011101010", "0000110101010110", "001101010010101", "0001011001101011", "010100100101001", "001101010100101", "010001010101010", "0001110010010101", "001101001010101", "0010010011000110", "0011001110101100", "001010101011001", "0001101100110010", "0010111000101001", "0000110010101010", "0001110010101101", "010010100101001", "0001010100100100", "010110101001001", "0001011010110011", "010101101101001", "0001010011010011", "010100010101010", "010101101001001", "010101000101010", "0101001101100001", "010101011101010", "0011011100100110", "0001110010100101", "0010011100110110", "0010110110001011", "0001011001010011", "001101010101001", "0001100101101011", "010010101101001", "010010110101001", "010010011010101", "0000101010101101", "0001001100101101", "010011011010101", "001010101010100", "0001001101100101", "0000101001010101", "0010001010100100", "011010101001001", "0010001001100101", "010100100110101", "010110100101001", "010010101001101", "0000101010010101", "0001101001101011", "0001001101001101", "0000101011010101", "001100101010101", "010010100110101", "010101100100101", "010011010110101", "0011011011000110", "0001011001101101", "0001001010011001", "0001010110100100", "0010010011011011", "0001001001001010", "0001010100110011", "010010101100101", "0001011010100100", "011010100101001", "010011010100101", "010010110010101", "0000101101010101", "010011010010101", "0001001100101001", "0001101001100110", "0001011011010100", "010101010101110", "0010001101001001", "010101011011001", "010110010100101", "0001001010010010", "0001001101011001", "0010001011001101", "0010010100010100", "010100101100101", "010100110100101", "010101011001001", "0010011010100011", "010010101011001", "0010011101101001", "0001100110101011", "0100011000101001", "0010101110010011", "0010001001001010", "0001010010010010", "0011011101100101", "010011010101001", "001001010101010", "010101101011001", "0010110111001001", "010100101011001", "0001001100110101", "0001101000101010", "0011110101010110", "010101100101001", "010110101011001", "010100110101001", "0010101010111101", "0001011010110100", "010101001011001", "010110010101001", "0001001001011010", "0001110110101010", "0010100111010011", "010101001101001", "0001100101010011", "0001001011011010", "0010010111010100", "001010101010010", "0001101100101101", "010011001010101", "0010001010010010", "0001001010101100", "0001000101010101", "0001010101100100", "0001010011001101", "001010010101010", "0010100010010010", "0010101011110101", "001010101001010", "001010100101010", "0010010010100010", "001010101101010", "001010110101010", "011001010101001", "010101001100101", "001010101011010", "0001110101010010", "0001011001101001", "0001011011011010", "0010101010000101", "0001101011011001", "0001101010101110", "0001011001011001", "001011010101010", "0001110101101010", "0010110001001101", "0001010101101100", "0001010011010100", "0011011101011001", "0001010110010100", "0010110101100011", "0010100010110100", "0100111011101010", "0001010110110110", "0010110010011101", "0001100110110101", "0100010110101110", "0010110101110100", "0001011010010010", "010101010011001", "0001011001100101", "0001010100110100", "0001010010101100", "0001101010100100", "001010101010110", "0001011001010100", "0011001101110101", "0001001011010110", "0001100100110101", "0101001000010101", "0010100111011001", "0001001001101010", "0001010110011001", "0010010110001101", "0001010101011101", "0001010100101100", "0001100101001101", "0010010001010110", "0001101101010100", "0001101100110101", "010010010101010", "0001010010010110", "0010010010111010", "0001001101101010", "0001010001010101", "0000110101010101", "0011101001001101", "0001001010110010", "0010101110110110", "0010001101010100", "00001010010001101", "0010011001110101", "010100100101010", "0001001101010010", "0001010100010101", "0001100110100101", "010010101010010", "0001001010011010", "0001010111010101", "0010011001001011", "010101011011010", "0010011010011011", "0001010101000101", "0010111000101010", "0010101101101110", "010010100101010", "0010010110110011", "010010101001010", "00101011111011010", "0001010110010010", "0011000100110101", "0001101010110100", "010010101101010", "0001010110110010", "0010011101010100", "010010101011010", "0011011100110101", "0001100110101001", "0001010010011010", "001101010101010", "0001100101011001", "010010110101010", "0001011101010101", "0010110111010110", "0010100100010110", "010101101011010", "0010011011010011", "0010010010100100", "010100101011010", "0001101010011001", "0010010101011100", "0010010010010100", "010101010110110", "010100101101010", "0001011001001010", "0001010011010010", "0001010010110010", "0010011100110101", "0101000010110101", "010101001011010", "0001001101010110", "0010001010011010", "0010100010110110", "0001011001010010", "0001100110010101", "010010101010110", "0010111010110110", "0010010110101110", "0001010101010001", "0001010100100110", "0010100111001101", "0001100101010100", "0011010011101001", "0010010100101110", "0011011010010011", "010101011010110", "0010110110101110", "010100101010110", "0001001010101011", "010101101010110", "010101001010110", "0001101101010010", "0010101101011100", "0010100101011100", "010110101010110", "0001001100101010", "0011010011000101", "0001101011011010", "0011010001100101", "010101010010110", "0100110011100110", "0001010101011011", "0001010011010110", "0011100110101101", "0000101010101010", "0001011001011010", "00001011100101101", "0001011010011010", "0010010011101010", "0010010100110011", "0100101110001010", "0010101001011100", "0010010101100010", "0001101101001010", "0001101001010010", "0100100111001001", "0010010011001001", "010011010101010", "0010010110100100", "0001101011010010", "0001101010101100", "0010001101001010", "0001010110010110", "0010010101110010", "0010010010110100", "011010101010110", "0011011101001010", "010100110101010", "0001011001010110", "010101001101010", "0001010011001010", "0001010010101011", "0011001001001001", "010101010011010", "0010101110010010", "0010001010101011", "0010110110110100", "0010010110001010", "0011011010001010", "0001010100101011", "0001010110101011", "0011100010101010", "0100010110011001", "0011010011100101", "0010100011011010", "0001010110011010", "0011011101010110", "0010010111001010", "0010100100111010", "0001101001011010", "00001101100101100", "0010001101010110", "0001010101001011", "0001001001010101", "0001101011010110", "0001011001101010", "0110101010100001", "0001011010101011", "0010110001010010", "010101010100110", "0011001101100110", "0011001100100110", "0010011001101101", "0010110010111010", "0010111001001010", "0001100101010010", "0010110101110010", "0010100111010010", "0011000110010101", "0010100101110010", "0001010101100110", "0001101001010110", "0011010111010110", "0001001010101101", "0001100101001010", "0010100110101110", "0100110011010001", "0010011101010110", "0011011010101110", "0010010101100100", "0011101001001010", "0010011001001101", "0010011011001101", "0001001010010101", "0001101100101010", "0010100010101011", "0100010010100110", "0001001010110101", "00000110010101010", "0011100110010101", "0001101010110010", "0001100101101010", "0001001010100101", "001010101010101", "0100100111011010", "0010010010101100", "0010100111010110", "0011001001001101", "0001101011001010", "0010110010101110", "0001001011010101", "0001010010010101", "0011010100010110", "0010110100011010", "0010101010011100", "0010010001010101", "0100110101110110", "0100100100111010", "0001101010011010", "0001010110110101", "0001101001101010", "0010101101101100", "0100011010010010", "0001010100100101", "0001110101010101", "0010010110010100", "0100010100110110", "0010010100110100", "0001100101010110", "0001010010101101", "0010011010010100", "0010010010110110", "0010001010101101", "0001010110101101", "00001001010100100", "0001001010101001", "0101011000111010", "0010011010110100", "0010100100110100", "0001011011010101", "0010001010010101", "0011011100101010", "0010010100101100", "0001010010100101", "0001101010100110", "0001010101010011", "0001010100101101", "0010001010110101", "0010110101001110", "0010100100101100", "0010100010010101", "0010001010100101", "0001010010110101", "0010100100010101", "0001011010101101", "0011010101100010", "0101110110010110", "0010101101110101", "0011011000101010", "0001010101001001", "0001100110101010", "0010010100010101", "0010011100101010", "0001011010110101", "00001100110110010", "0010001011010101", "0010101001000101", "0010010101110101", "0010110110011001", "0011000101101010", "0001010110100101", "0010101011100110", "0110010001010110", "0010110011001001", "0010110111010101", "0010010011011010", "00011001110100100", "0001011010100101", "0101110100110110", "0001101010101011", "0001010010101001", "0010100101011101", "0001011010010101", "0001010100101001", "00010110011100100", "0010001010101001", "0010100010101101", "0001001101010101", "0010101110101101", "0011001001011001", "0010010011010010", "0010011001010100", "010010101010101", "0001010110101001", "0011100100101010", "0010110010110100", "0011011010011001", "0010100010100101", "0010101100011010", "0010010110110010", "0001010101101001", "0010010101001100", "0010101001011101", "0010011011010010", "0010110001101010", "010100101010101", "0011010101000110", "010101001010101", "010101010010101", "0001011010101001", "0010101000101101", "0010110110010010", "0010110101110101", "010101010100101", "0010111010101101", "0010100010110101", "0010100110010100", "0010010010100110", "0101010101111010", "0001010101001101", "0100010101100110", "0010111010110101", "0010101011000110", "0011001001100101", "0010010100100110", "0001010011010101", "0010011010101100", "0010010101010001", "0011001100101101", "0001010100110101", "00011010111000110", "0010010011010110", "0010100101001100", "0011010101001110", "0010110101000101", "0001010110010101", "0110110110110110", "0001010101100101", "0010101001101100", "0010101000101001", "0010011011010110", "0010100110110110", "0010110100010101", "0011010011001101", "0100101101001110", "0010100110011001", "0100100010100101", "010101010101001", "0100101000100101", "0001011001010101", "0010111010010101", "0100101110011010", "0010100110101100", "0010010101011011", "0101000101100110", "0010101010100011", "0101011001000110", "0100010100100101", "0010010010101011", "0010110101001100", "0010101001010001", "0010010011001010", "0100011001010110", "0001101101010101", "0110001011010110", "0001010101011001", "00101100110111101", "0100100101000101", "0100110100111010", "0010011001001010", "0010101100101100", "0001101010101101", "0011010110101100", "0010101101010001", "0010110010101100", "0011001101011001", "0010001101010101", "0100010110110101", "00010010001011001", "0011010110011001", "0010011001010010", "0010010110100110", "0100010100101101", "0001101011010101", "0001101010110101", "0010010110010110", "0101100011011010", "0010100100110010", "0101110011010110", "0001101010010101", "0010010101101011", "0010010100101011", "0010011011001010", "0001101010100101", "0101001011100110", "0100011001101010", "0001101001010101", "0011001010010010", "0010100100101011", "0010110010110110", "0010011010110010", "0100010101001001", "0001010101010100", "0010110010010110", "0010110110010110", "0010011101010101", "0010110100110110", "0010010110101011", "0101001101000110", "0010010101001011", "0010100110110010", "0010010110011010", "0100100110110110", "0010101010011101", "00010010010011011", "0011010010010110", "0010101011001100", "0010011001011010", "0010101001001011", "0011011001001010", "0101011101101001", "0011010101000101", "00010100011100101", "0011010110010010", "0010101010001101", "0101011011101001", "0011010110110010", "0010100011010101", "0001101010101001", "0010110110011010", "0010011010011010", "0010010101100110", "0010101001110101", "0010010010010101", "0101101011000110", "0100010011010101", "00110001000110110", "0010100101101011", "00001101101011001", "0010101100010101", "0010101110010101", "0010100101001011", "0100101101000101", "0010011001010110", "0101011010010001", "0010101011000101", "0010110010011010", "0100110111010101", "0010110001010101", "0001100101010101", "0011101010101101", "0100101001010001", "0010011010100110", "0010101100110110", "00001001101101010", "0010010010101101", "0100100110010010", "0001001010101010", "0011010011011010", "0010111001010101", "0010110010110010", "0011001011010010", "00010001001010010", "0010101101101101", "0010101100100110", "0011001010110110", "0011011001011010", "0010101010111001", "00001011010101100", "0101001100011010", "0010010010100101", "0100010100110101", "0101110101101001", "0010010100100101", "00010110101000100", "0011010011010010", "0010011001101010", "0010100101100110", "0010100100100101", "0011010010110010", "0100101101010001", "0010010010110101", "0100100011010101", "0010100110010110", "0010110101100110", "0010010110110101", "0101000101101001", "0100010101100101", "0010110011010110", "0010011010101011", "0010100110100110", "0110110101010001", "0101101001010001", "0010110110110101", "0101001011101001", "0101010111011001", "0010101010110001", "0100010110010101", "0101010011000110", "0001010101010010", "0010010110101101", "0011001101101010", "0010010101010011", "0011001010010110", "00010110011101101", "00001100101100101", "0010100101101101", "0001010010101010", "0101011000100101", "0010110101101101", "0100011010101101", "0010010010101001", "0010001010101010", "0001010101001010", "0001010100101010", "0011001101010010", "00011100110010010", "0001010101101010", "0010101010010011", "0001010110101010", "0110100100110110", "0011010110010110", "0001010101011010", "0010101001001001", "0010110011001010", "0100101000110101", "00101011101110100", "0100101001110101", "00100011001100100", "0010101100101011", "0011001010110010", "00010010011100101", "0011001101011010", "0011010100110010", "0110100101010001", "0100011010100101", "00010001010101100", "00011100110110010", "0001011010101010", "0010100101010011", "0010010100101001", "0010010110100101", "0100101011100101", "0101100110011001", "0011001010011010", "0100100100100101", "0100101100010101", "0010100100101001", "0010101001100110", "0101110101011001", "0010100101001001", "00010011100100101", "00010010100110001", "0010110100100101", "0010101010100010", "0100101110010101", "0100101101100110", "0010101011010011", "00010010001010110", "0001010101010110", "0100110010110110", "00001101101101010", "0100101100110110", "0010110101010011", "0101000110100101", "0010010101101001", "0010010011010101", "0010010110101001", "0101001011000101", "00001010011010110", "0010100010101010", "0100011010101001", "0100110110100110", "0011001101010110", "00010010011001011", "0010101101101001", "0010101010001010", "0010011011010101", "0010101000101010", "0010101101001001", "010101010101010", "0010110101001001", "0010101011101010", "0011010101100110", "0010010101001101", "00010010100111001", "00010001001101010", "0100100110011010", "00010011010110001", "0010011010101101", "0010101010111010", "00010101011000100", "0010010100110101", "0011100101010101", "00011011011000101", "0010101001101101", "0101001110101001", "0100101010110001", "0011011011010101", "0010101001001101", "0010011010110101", "0101001001001001", "00001011010100110", "0101010010111001", "0101010001101001", "0010100100110101", "0010010101100101", "0110010101010001", "0011001100101010", "0100110010011010", "0011010101101101", "0100101100110010", "0010010110010101", "0101001010110001", "00010100100111001", "0010011010100101", "0100100100101001", "0010100110101101", "00010100010101100", "0010011010010101", "0010110101101001", "0010110100101001", "0010101101100101", "0010101100100101", "0101010010110001", "0100100010101010", "00010011010010011", "00010010010010100", "0110001010101001", "0010110010010101", "00010100111001001", "00001010101001011", "00010001010011010", "0010110110010101", "00010010111010110", "0101001001100110", "0010010101011001", "00010101011011100", "0011010100100101", "0011010110101101", "0101000100101010", "0010101100101101", "0011010010010101", "0010110010101101", "0010101101001101", "0101001100110110", "0011011010100101", "0100101001100110", "0010101010101110", "0010110101001101", "00010001011001010", "0010101011011001", "0010011010101001", "0011011010010101", "00010011010100010", "0010100101100101", "00010010100101110", "0010100110100101", "0110011010010110", "0101010100011001", "00101001000110001", "0010110010100101", "0010101011001001", "0010110101100101", "0110010110100110", "0011010100101101", "0100010100101010", "00010100111001101", "0010110010110101", "0001101010101010", "00010001010100110", "0010110100110101", "00010110001010100", "00010001101010010", "00010100010011010", "0100101000101010", "0100100100110101", "0010010101010100", "0011010101001001", "0011010010100101", "0010101101011001", "00001010101101101", "0100010101011010", "0011010110100101", "0010011001010101", "0100100110110101", "0010100110101001", "0101000101001010", "0010101100101001", "0011010010110101", "00010110001101001", "0110101001001001", "0100100101001101", "0010101001011001", "00011011101101010", "0100101010111010", "0100100110101101", "0100010110101010", "0101100110100110", "0010110101011001", "0010101001101001", "00001010110110101", "0101011101011010", "00001101010110010", "0010110010101001", "0101011010111010", "00011000110110101", "0100101110101010", "0101000101011010", "0010101011001101", "0011001001010101", "00001010010101101", "00010011001100110", "0101001010111010", "00010100110100010", "00010010110110100", "0101010101101110", "0010100101010100", "0101101010111010", "0010100110010101", "00010100010110010", "0100010101010110", "0010101001010100", "0011010010101001", "0010101100110101", "0011001010101101", "00100111011001011", "0010101011010100", "00001010010100101", "0010101001100101", "0100100101100101", "00010101101100010", "00001010101010011", "00001010100101101", "0101010010111010", "0110100100101001", "0100110100100101", "0100100110100101", "00010010111001010", "0010110011010101", "0110101101001001", "0100101101100101", "0010101010110100", "00011010010001010", "00010101010001100", "0100110110100101", "00010111010110010", "00001011010101101", "0101011001001001", "0011001010100101", "00010010101000110", "0101010001010110", "0100100101011001", "0101011001100110", "00010101001101110", "0011001011010101", "00011100110101101", "0101011011001001", "0011101010101010", "0101110101010110", "0100101011011001", "0010010010101010", "00101101100010011", "0011010100110101", "0010101010011001", "0101010110101110", "00110010001001001", "0100100110101001", "0100110101001001", "0011010101100101", "00100010100011010", "0100101011001001", "0100110110101001", "0011010011010101", "00001001101010101", "00001011010100101", "0101010010101110", "0101101011011001", "00001010100101001", "00011010100110001", "0101001001011001", "00001011010010101", "0101100101001001", "00010010101001110", "00011010001010010", "0010010101010010", "00011000110101001", "00011010101110110", "0101101100101001", "0101001011011001", "0011001010101001", "00001010110101001", "0101001101001001", "0010101010010010", "00010101110100110", "0101010100101110", "00101100111001100", "0010010100101010", "00010011000101010", "00001010101101001", "0010010101001010", "0100101101011001", "00100110011101011", "0011010101011001", "0100110010010101", "0010100100101010", "0110100101101001", "0010010101101010", "00011001100100110", "0010101001001010", "00010111010100110", "0010010101011010", "00010100011010110", "0001010101010101", "00001011010101001", "00010100111010110", "00100101000110011", "0010101101101010", "00011100101100101", "00010101001001110", "0010010110101010", "0010101011011010", "0101001001100101", "0110010010101001", "0101001100100101", "00011001101001011", "0010100101010010", "0010101001010010", "00001010011010101", "0011001101010101", "00010010010110110", "00101000110100010", "00010110100011010", "0010110110101010", "00001010100110101", "0100101001100101", "00010100101001110", "0010100101001010", "00010101000110010", "0010101101010010", "00010100011001010", "0100110101001101", "00001010110010101", "0101101001011001", "00001010101100101", "0100101100110101", "00111010010001010", "0010101010101100", "0101101001101001", "0101000110101010", "0010101011010010", "0101001110101010", "0010010101010110", "0010110101010010", "0101010011101010", "0010100101011010", "00011001010001010", "0010101101011010", "0101010100011010", "00011101001001010", "0101010010011001", "00011010010110011", "0010100101101010", "0100110100110101", "00100010010010110", "0010101010110110", "00010110110110110", "0010110101101010", "0010101101001010", "00010010100010101", "0101010011001001", "0010110101001010", "0110010110101001", "0110010101101001", "0010101001011010", "0100101010011001", "00011101001010010", "00010010101110101", "0010110101011010", "0101100110100101", "00010011010011001", "0100100100101010", "00010100101101100", "0101010011011001", "00110011101101101", "0100110010101001", "0010110100101010", "0101001010011001", "00100110110110001", "0101010101000110", "00010001010101001", "00011101010110110", "00101001001110011", "0101100101100101", "00010111001101010", "0010100101010110", "0101001001001010", "0010101011010110", "00100100011010100", "00101110001001010", "00010101011100110", "00010010010110010", "00011011001001101", "0010101001010110", "0010101101010110", "0100100101010010", "00100010100101100", "00100100100100100", "00010110011001001", "0101011010011001", "0101100110101001", "0101011011011010", "00110101110010011", "00001101010101101", "00011010010010100", "0010011010101010", "0010101010010110", "0100101001001010", "00010010110110010", "0101100101011001", "00010010101001100", "0010110101010110", "00011001101010011", "0101010101001110", "00100101101101110", "0100110011010101", "00010011011010010", "00100010110011001", "00001101011010101", "00001101010110101", "00011000101101010", "00011011010010100", "00101001110010011", "00101010001000101", "00101011000111001", "0100100101011010", "0100101001010010", "0100101011011010", "00010100101000101", "00010010010100110", "00001101010100101", "0010101010110010", "00100011010010100", "0100100101101010", "00011001010101110", "0100101101101010", "00100100111001101", "00010110001101010", "00100100100101110", "0011011010101010", "01000110010110001", "0010100110101010", "00010100010110101", "0110010101011001", "00100010011010010", "0010101011001010", "0101010110110110", "0010101001101010", "00010110110010010", "0010101100101010", "00010110100101100", "00010101010010001", "0011010101010010", "0010101010011010", "00101101010100001", "00010101011000110", "00110110001001101", "0100101011010010", "0101001011011010", "00010011010110110", "00011001011001001", "00010100100100110", "00011001001101001", "0010110010101010", "00010111010110101", "00010100101001100", "0100100101010110", "0011010110101010", "00001001010101010", "0011010101001010", "00010100010101001", "0100101010110110", "0011010101101010", "0011010100101010", "00110001011101010", "0100101001011010", "0100101101011010", "00010100110110110", "00101110001011010", "0011010101011010", "00010101100110100", "0100010101010101", "0101011011010110", "00011010010010010", "0011010010101010", "0101011010110110", "0101001001010110", "0100101101001010", "00011011001011001", "0010101010100110", "0101001010110110", "00010100110101100", "00110111011010010", "00011001001100101", "0101101101010110", "00100101110011001", "00010101110101001", "0101101010110110", "00010101101001100", "00010011001001010", "00011001101100101", "00010101011011011", "0101010010010110", "00010101001010001", "00011011010010010", "0100101001010110", "0100101011010110", "0101000101010101", "00101110110010010", "00010110101001100", "00010101011101001", "0101010001010101", "00010010110100110", "0101010100010101", "00011010101001110", "00100011010010010", "00110001010101110", "00010010110010110", "00011001101001101", "00100101100010010", "00100100100111010", "00010101101010001", "00101000011010101", "0011010101010110", "0101101001011010", "0100101101010110", "00011010011001101", "00010110010101100", "00010111010101001", "00010011011001010", "0100100110101010", "00001010101010010", "00101010000110101", "00010100110010010", "00101101000101100", "0100110110101010", "0010101010101011", "0101001011010110", "00010101011010001", "00001010010101010", "00011001010110100", "00010110101010001", "0110101010110110", "00011010110110110", "00010101101011011", "00010010110101011", "01001010010001110", "00010010101001011", "00001010101001010", "0101001001101010", "0100101010110010", "00011000110101010", "00110110111010110", "00001010101101010", "0101101010010110", "0101101001010110", "00001010110101010", "0011001010101010", "00011001010011001", "0101010011011010", "00010011001011010", "0101011010010110", "0100101001101010", "00010101001011011", "00010110010010110", "00010100110110010", "0100101011001010", "00010110110010110", "00011011101010101", "0100110101001010", "00100010100110010", "00010101010011101", "0100101010011010", "00010110101011011", "00011010110011001", "0110101011010110", "00010010010010101", "0100110101011010", "00100001010101010", "00111010101000110", "0100101100101010", "00100101001110110", "00100011011010110", "0100110100101010", "00011010101011101", "0101001010011010", "0110101001010110", "0101001101011010", "00011010010101100", "00011001010010010", "00111101010100101", "0101010101010001", "00010100111010101", "00010011001010110", "0101010100100110", "0101001011001010", "00010101000110101", "0110100101010110", "00011001100110101", "00010100101101011", "00100100111010110", "00100100011001010", "00100111001100101", "0101100101011010", "00001010101010110", "00010011010100110", "01000101011001110", "0101010100110110", "0101011010011010", "0101011001011010", "0100101010100110", "00101010001101100", "00010101011000101", "00010101101100110", "00010110101101011", "00011001101010100", "00111000101011010", "00100101011101011", "00010110011010010", "00010110010011010", "00011010100010101", "00010110001010101", "00100011001010010", "0100110101010110", "00010110100110010", "0010010101010101", "00100101001001110", "0101001010100110", "00010010100100101", "00101010111010001", "00101010101100001", "00011010110010010", "00100100100110100", "00101001001001110", "0101011010100110", "0101010011010110", "00010101101101101", "0101001101010110", "00101100110001101", "0101101010100110", "00010101010111001", "00010101101001011", "01011100110101110", "0101011001010110", "00010010110110101", "0101010110100110", "000010100101011000", "0101100101010110", "00011001001011010", "00011101101010101", "00010100101100110", "00010100100100101", "00010011010101011", "0101010110010110", "00110111010010110", "00011001010101100", "00101000110010010", "00110110010100010", "00010100110010110", "0010101010101101", "00110100101101110", "00010010100101101", "0100110010101010", "00010010110101101", "00110001101001101", "00010010101010011", "01100010011011001", "00010001010101010", "00010101010011011", "00010110101100110", "00101001100111001", "00100011001011010", "0010100101010101", "00010110011010110", "00101010100001010", "00010010010101001", "00011010010011010", "0010101010110101", "01000110011101010", "0010101001010101", "0010101011010101", "0010101010010101", "0101011001101010", "0010101101010101", "00010010101001001", "0110010101010110", "00101000101101011", "0101010110011010", "0010101010100101", "00011011001011010", "00100110101110010", "00100011101010101", "00110001100101001", "00100110010110011", "00101001010001011", "00010100110011010", "00100011010011010", "00011010011010010", "00011010101010001", "00101100010110110", "00100110011010011", "0010110101010101", "00011010010110010", "00011010100100110", "00010100110101011", "00010101010010011", "00010101001101011", "00100101110011010", "00100101001000101", "00011011010100110", "00101101100010110", "00010101001001001", "00100100111001010", "00010010100101001", "00110101100111001", "00010010110100101", "00011010100110110", "00110101000100110", "00101100100110011", "00010110011001010", "00010101100101011", "00100100010110101", "01010001000110101", "00100010110110101", "00011011001010110", "00011001011010110", "00011001010010110", "0101010101100110", "00100111001001010", "00010100101010011", "00100101001110010", "00101011101001011", "00010101011001011", "00011010101011011", "00010101001010011", "0010101010101001", "00100010101010011", "00101000101100110", "00010110010101011", "00010100100101001", "00011000101010101", "00101011010001011", "00100010110101101", "00010100101001001", "01000100111010101", "00010010011010101", "00011010010100110", "00010101101010011", "00010010110101001", "00011010110100110", "00100011001101010", "00010101010100010", "00101100111010010", "00101011000100110", "00011010011010110", "00010101011010011", "00101101000110010", "00010110100100101", "00011010110010110", "00010110110100101", "00100101001011101", "0100100101010101", "01100010101001110", "00011011001101010", "00010011011010101", "00011001101011010", "00011101010101001", "00010100010101010", "00100101000101101", "00001101010101010", "00010110101010011", "00101001001011101", "00100101100011010", "00011010100110010", "00011001101001010", "00100011010101011", "00110110100111010", "00101000101101101", "00101100010110010", "00010010100110101", "01000101000110101", "0101001001010101", "00100010100101001", "00101110010110010", "00010101101001001", "0101010010010101", "01010100011001110", "0100101010101101", "01001100011101010", "00010110100101101", "00101101011100110", "00010101110101010", "00110010110101110", "0101010100100101", "00100110101001110", "00011010100101011", "00100101011000110", "00010010101100101", "00011010011001010", "00101100011011010", "00100010011010101", "00101001100010110", "0100101001010101", "00010101001101101", "000101000101100011", "00010010110010101", "0100101010110101", "00100100101010001", "00010101001001101", "0100101010010101", "00101000101010011", "0100101011010101", "00010011010100101", "00010100100110101", "00101010001010011", "00010100110110101", "00100110101101100", "0100101010100101", "00011001101010110", "00100010101101001", "00101011011000110", "00101000101001001", "0101001010010101", "00011001010100110", "0011010101010101", "00110001011010110", "00010100101101001", "0100101101010101", "000110011000111001", "00010100110101101", "00100110110011001", "00010100101001101", "0101010010100101", "00101010100100011", "00010101010110011", "0101001010100101", "00101011000110110", "00100101110100101", "00011010101100110", "00010101101100101", "00010101100100101", "00100100100110010", "00100010101001101", "0101011010100101", "00010110100101001", "0101001011010101", "00101010111010011", "00100100110010010", "0101101010100101", "00100110010010010", "00100010100110101", "0101011010010101", "01001011001101110", "00010110010010101", "00101100101001110", "00101110100100101", "00100100100101011", "0101010110100101", "00101110101010011", "00010011010101001", "00010110110010101", "00011011011010101", "01001011011100110", "00010101100101101", "00111001010110110", "00101011000101011", "0100101010101001", "00010101101001101", "00100110101011101", "00101101011000110", "01001100010010110", "00010101010101110", "00100010101100101", "00011010101101101", "00101101101001100", "00010101011011001", "00011001010101011", "00010100101100101", "00100110011001101", "00101010001100110", "00010100110100101", "00100100011010101", "0101010100101001", "00100101101010001", "00100100110110010", "0101001010101001", "00100010110010101", "0101010010101001", "00011011010101101", "00010101011001001", "0101011010101001", "0101010110101001", "01001000101001001", "00100110110010010", "00010110010100101", "00100011011010101", "00110101101110101", "0101101010101001", "00010110101100101", "00010011001010101", "00111001101101010", "00011011010110101", "00101001101011101", "00010110010110101", "00101011101100101", "0101010101101001", "000100110101011110", "00100011010101101", "00010101010100100", "00011010100100101", "00100010101011001", "00011010110101101", "00101000101001101", "00001010101010101", "00101010011001110", "00100100101101011", "00110110110110010", "00010100110101001", "00110100100101100", "00100100101001011", "00100101101101011", "00101010011101101", "00100011010110101", "00010101100101001", "00100101001001011", "00110001101011010", "00101010110100011", "00110110101110101", "00010101001011001", "00101010010001101", "00100101011001100", "00101001001001011", "00011011010010101", "00101001011101001", "0100110101010101", "00101001000110101", "00110111010100101", "00010101001101001", "00100101010001101", "00011010010101101", "00101010111011001", "00100011010100101", "01001001001010001", "00100101000110101", "00010110101011001", "00100011010010101", "00100101001110101", "0110101010101001", "00100111010101101", "00010101011001101", "0101001101010101", "01011101101101001", "0101010011010101", "00110111010010101", "0101010110010101", "00101001011010001", "00010100101010100", "00100110101001100", "01010010111000101", "00010100110010101", "0101010101100101", "00011010010100101", "00101011101001101", "00100010101010100", "00110010101001110", "00010101001010100", "01010010001100110", "00100101011100101", "00011010110100101", "00010101100110101", "00101000101100101", "00011011010101001", "00010101011010100", "00010101101010100", "00010101001100101", "00101001100110100", "00101000110101101", "00101001110101101", "01001110010110110", "00111001011001010", "00101011001101100", "00101001010001101", "00101001101000101", "00110111010101001", "00101010110010001", "00100100110010110", "00100101110010101", "00100101101100110", "01100110100010110", "01001100010110010", "00100011010101001", "00010101010110100", "00010010010101010", "00011001001010101", "00010110011010101", "00100101100110110", "00100100110100110", "00100110010110110", "00101011101011001", "00010110101010100", "00100111010010101", "00011010100101001", "0101010101011001", "00011010110101001", "01001011010001001", "00101101011001100", "01010010101110001", "00101100110110110", "00101110100110101", "00100100100101101", "00100101100100110", "00101001101001100", "00100100101101101", "00011001010101101", "000100100010110100", "00100110110100110", "00110010001010101", "00100101101001011", "00011010010101001", "00010101010011001", "00111010110101101", "00101101100110110", "00011010101101001", "00101100100100110", "00010010101010010", "00101100110101100", "00100100110011010", "00101000110100101", "000001101010101001", "00101110010101101", "00100110110010110", "00011001010010101", "01001011000110010", "00100111010101001", "01001110110110101", "00011001010110101", "00101110101011001", "00100100110101011", "00101011001010001", "00010010100101010", "00101001101010001", "00100110011011010", "00100101010110001", "00010010101001010", "00011001010100101", "00101010111001001", "00101001110100101", "00100010010101010", "00101100100110110", "00101101001110101", "000011100101010100", "01001101001000101", "00010010101101010", "00011010101001101", "00101110010100101", "00101011010111001", "00010101010010010", "0010101010101010", "00100110001010101", "00110100110011001", "01010010011000110", "00101110010110101", "00100101100110010", "00101010111010100", "00100110010011010", "00100100100101001", "00101010011010001", "00100110011010010", "00010100100101010", "00010010110101010", "000100100011101010", "00011010100110101", "00100100101001001", "00100101001001001", "00110010100010101", "00101001110101001", "00010101001001010", "00111010101001001", "00101010110011101", "00011010101100101", "00101001001001001", "00101010010010011", "01101011010010001", "00011010011010101", "00101101010111001", "01000101010101110", "00100110010110010", "00100110100110010", "00010101101101010", "00101010001101001", "00110010010011010", "00011101010101010", "00010101011011010", "00101010101110100", "00101100010101001", "00010100101010010", "01010011100010101", "00011001010101001", "00110110110011010", "00010101001010010", "00101000110010101", "00110101011010001", "00101010011101001", "00100010101010010", "000100110100101000", "00100101011001011", "00101001100010101", "00110011011010010", "00101010100100010", "00010010101010110", "00100101001100110", "00101100110110010", "000101001100010011", "00100110100101011", "00101011100110101", "00100010100101010", "00010101101010010", "00101010010110001", "00101010001100101", "00101001010010011", "00100110101001011", "01000100110010101", "00100010101001010", "00011010101011001", "00100101010100010", "00010101010101100", "000100010101101100", "00101001001100110", "00110100100110010", "00010101011010010", "00100110011010110", "00100101011010011", "00010100101011010", "00100010101101010", "000011101001010110", "00101001000101010", "00100101101010011", "00100010101011010", "00100110010100110", "00010101101011010", "00101010001001010", "00010100101101010", "00100110101100110", "01001001011100101", "01010101000111001", "00101011011010011", "00101110011010101", "00100100100110101", "00010101010110110", "00011001101010101", "01010011010010001", "01001100111001010", "00101011011101010", "00010101101001010", "00100101010001010", "01000101101011001", "00101001101100110", "00101010111011010", "00100010110101010", "00010101001011010", "00100100110110101", "00101010110111010", "00110001011010101", "00100110110110101", "00010110101001010", "00100100101101001", "00101010100011001", "01000110101001001", "00100101011101010", "00100100101001101", "01011011001010001", "01000101011001101", "00110010010100110", "00010110101011010", "00110101100010101", "00100100110101101", "00100101010111010", "00100101001101101", "00101001101001011", "00100110101101101", "00011010101010100", "00100101001001101", "00100110011001010", "00010100101010110", "00101100101001011", "00101000101010010", "01000101001100101", "00101010001010010", "000010011010110010", "00010101011010110", "00100010101010110", "000010100110010010", "00110010011010110", "00010011010101010", "00100101110101010", "00101001110010101", "00010101001010110", "00110001010101001", "00110100011010101", "00010101101010110", "000100100101101110", "0100101010101010", "00101001011010011", "01000110010010101", "000101000100010101", "00111001001010101", "000110001110100101", "00101010011100101", "01101001011010001", "00101101011010011", "01010001101001001", "00101001100110010", "000100010101110101", "00010101010010110", "00101101001010011", "01001101011010001", "00101010101110110", "00100101010110011", "00110011001001010", "00101010110100010", "00110110101101101", "00100100101100101", "0101001010101010", "00110101010111001", "000101011101110101", "0101010010101010", "0101010110101010", "0101010101101010", "00010110101010110", "00101011101011010", "000010100110110010", "00100100110100101", "00101011010111010", "00101010111010010", "00101100101100110", "0101010101011010", "000011010110010100", "00100101100100101", "000100100101011100", "00100110100100101", "00100101101100101", "00101101011101010", "01010001100100101", "00101000101101010", "00111010101011001", "00010101010110010", "01001100110110110", "00110011011001010", "000110100011100101", "00101001011101010", "01000110010100101", "00100100101011001", "00100110110100101", "00101101101100101", "00101011010001010", "00010100110101010", "01010100110010001", "00100110101010011", "00100101010101110", "00111001011010101", "01001100010100101", "00110011010110010", "01001001100100110", "00010101011001010", "00101110101001010", "00010101001101010", "000111010001010010", "00110100100100101", "00101101100100101", "00010101100101010", "00100101101001101", "00101110101011010", "00100100110101001", "00010101010011010", "01011001101000101", "00101001010110011", "00100110100101101", "00101010110010011", "000110011001001110", "00101011010110011", "00100101011001001", "000010101100010101", "000101100010100100", "00100110101001001", "01001101100110110", "01001011100110101", "01000110101100101", "01001100010110101", "00110110100100101", "00100110110101001", "0101010101010110", "00101011001001001", "00101010001010110", "00010110010101010", "00101011101010110", "01000111010101010", "01001101011100101", "01001001001101101", "00101010010110011", "00100101010100100", "00101101001001101", "00110101010010011", "01001001010001010", "00101010101011100", "00101011011001001", "00101001101010011", "00101101010110011", "00100100101010100", "01010100111011001", "00101011001010011", "01001001110010101", "00110100100101101", "00110101001001001", "00101010110101110", "000110001101001011", "00100101001011001", "00011011010101010", "00100100110010101", "00101010100010110", "00010101010100110", "00110110110101001", "01001110100110101", "00100101101011001", "00101010011010011", "01000101011011010", "00110110100101101", "00100101001101001", "00101001001011001", "00101110101010110", "00011010101010010", "00100101100101001", "00100110010010101", "000010001010101010", "000010010100101101", "00110011001010110", "01010011000101001", "01000110101011001", "00100011010101010", "000010010101010011", "00100110100101001", "00101001011011001", "01101000110101001", "00100110101101001", "00110011010100110", "01000101101101010", "00101001001101001", "000101001001011100", "00100101011001101", "00111001101010101", "00011010110101010", "01001100100110010", "00011010101001010", "00101001101101001", "00100110010101101", "00101010100101110", "00011010101101010", "00011010100101010", "00110010010010101", "00110100101010011", "000100101011010111", "01011011010111010", "00101101100101001", "01010101101101110", "00101011001101101", "00011010101011010", "01011100110101001", "01000110011010101", "00100101001100101", "000010101010110001", "00011010010101010", "000101000101110101", "01010110100011001", "00110100100101001", "00100101011010100", "000100100010101011", "00110100101001001", "00110101101101001", "01010110011101001", "000010100101101101", "00010101010101011", "01000101010110110", "00110010101101101", "00100101100110101", "00100110101001101", "00100111010101010", "00101001001100101", "01010001011011010", "00101011011010100", "01001010111010010", "00100101101010100", "00100110010110101", "00110010010101101", "00100101010110100", "01010010101110110", "01011011101010110", "00110101011101010", "000010010100101001", "00101010101100010", "000100111001101001", "01010110001011001", "01001010100111001", "00101010100110011", "01100101101100110", "00101011001001101", "000110100101100011", "00100110101100101", "00101001010010100", "00101010110110100", "01010111010110110", "00110010100100101", "001010011011100011", "00101101100110101", "00110110010010101", "00100110100110101", "01010100100010110", "00101010101110010", "01011001001001001", "01010010100111001", "00101001100101101", "00110100010101010", "00101000110101010", "00011010101010110", "00100101010011001", "00101100110101101", "01010100101110110", "000101010101111001", "000101000101010001", "00100100100101010", "000101010001010001", "00101010110001010", "001001000010100101", "00101101011001101", "00101010111001010", "00101010001101010", "000010010011010101", "00101010011101010", "00101011000101010", "01001010111010110", "01010110110101110", "00101011100101010", "000101011000111010", "00101010100011010", "00100110010101001", "00101001101001101", "01010110100111001", "00110010110101101", "000010100101001001", "00110101101100101", "00101010100111010", "00101001011010100", "00110010100101101", "00110101100100101", "01010100010110110", "00101001010110100", "01001101001001001", "00011001010101010", "00101011010110100", "00100110101011001", "01011011010101110", "00110110010100101", "00100100101010010", "01000101101010110", "01001101101101001", "00100101010010010", "000010010101001101", "01011001001100110", "000100101100100100", "01001011001100110", "00010010101010101", "00110110010110101", "01001010110101110", "00101110010101010", "00101010010010010", "00110100101101001", "000010100010101010", "00100100101001010", "000010010100110101", "00110010101001001", "00110101100101101", "00100101001001010", "00110010010101001", "00110101101001101", "01010100100101110", "00111010101101010", "00101010101000110", "00101101010110100", "00101101001100101", "00101001001001010", "000110010011000101", "01001101001100110", "01101010101101110", "01001001001100101", "01010110011100101", "00111010101011010", "000010101000101010", "01000101001101010", "000010011010110101", "00100110011010101", "000010010101100101", "01001011010101110", "00110101011011001", "00100100101011010", "000010101011101010", "00101100101100101", "000101001000110010", "00100101001010010", "01100101001001001", "00100101011011010", "000010010110010101", "01001000110101010", "00110010110100101", "01000101010011010", "00100100101101010", "00111010010101010", "00101011010011001", "00101001010010010", "00101001001010010", "00101011011011010", "000100110100101110", "00100101101101010", "00100110101010100", "00101101010011001", "00101011001101001", "00110110010101001", "000011001010011010", "01001100110011010", "000110100110110001", "00101100110101001", "000110110010110001", "01010101000100110", "01101011001001001", "00101010101001110", "00101101101101010", "00101010011001101", "00110100101100101", "00110101101011001", "00010101010101101", "00110011011010101", "000101001100011001", "00101100101011001", "01000110110101010", "00100101010101100", "000100011101010101", "01010101100011001", "000010010101011001", "00101001100110101", "011001100001100110", "01010011010111010", "00100101011010010", "00010100101010101", "01001001110101010", "00110010110101001", "00110010101101001", "000010100101001101", "000100100010100101", "01000110101010010", "000101000101001011", "00100010101010101", "00110011010101101", "00010101010110101", "000100101101001110", "00010101001010101", "01001001101001101", "00010101011010101", "00010101010010101", "00110001010101010", "01010010010011001", "00100101010110110", "00010101101010101", "00101011001100101", "00101001101010100", "000011010101001011", "00100101001011010", "00010101010100101", "01001010101110010", "00100101101011010", "01001011001001101", "00101010110110110", "000011001010100110", "00110101001101001", "00101010011010100", "00101100110010101", "01001010001101010", "00110010101001101", "000111011101010101", "00101001001011010", "01001001010011001", "00101001011011010", "00110101011001101", "01001010011101010", "00100101101001010", "01000110101011010", "00101101011011010", "00101101101011010", "000101100010110110", "01001001001001010", "001000111001011001", "00110010100110101", "000110110010100010", "00010110101010101", "00101010100110100", "01001110101001010", "00101001010101100", "00110101100110101", "000010101010101110", "000100101010100111", "00101010110101100", "01001010100111010", "00101101001001010", "01001011000101010", "01001100100101001", "00101101101001010", "01010010100011010", "00100101001010110", "000101101000110110", "00100101011010110", "01010011101011010", "00101010110011001", "000111001110101010", "01010001101011010", "000100101001100100", "01100100101101001", "01001001101011001", "00110010110010101", "000010011001010101", "00101001001010110", "01001101011011001", "00101001011010010", "00110100110010101", "00101010100101100", "01001010011011001", "00101001010110110", "000101001011011101", "00010101010101001", "000011011011010101", "00101101011010010", "000100100100100110", "000010110010100101", "00100101010010110", "00101101010101100", "000100110101000110", "01010100110101110", "00100101101010110", "01011000101011010", "000110100010011010", "00100100110101010", "000011010101101101", "01010011010101110", "01011010100111010", "01001101100101001", "000101110101001011", "00101000101010101", "01000110101010110", "00101010010010110", "01011011010011001", "000010110100110101", "000010101101011001", "00110011010101001", "000010100110101001", "000011100101010101", "00101010010110110", "00101010101110101", "001001010001100010", "00100110110101010", "00101101010110110", "00101010001010101", "01001001100110101", "0101010101010101", "000010101100101001", "00101010100010101", "01011011001101001", "01001100110110101", "01011001101101001", "00101011101010101", "000101010110001100", "00100101010110010", "000100101010010001", "000100100110011001", "01010101001001110", "01010101100101110", "01010100111010110", "000100110110110110", "001010001101011100", "00100110101010010", "01011001011011001", "01010001101010110", "000100110110010100", "01010011101010110", "00111001010101010", "000101001001001100", "01001010011001101", "00100101001101010", "000101010111000101", "00101001010010110", "01001010101001110", "01001011010011001", "00101001011010110", "00100101011001010", "000110011100110101", "000010101011001101", "00101010110110010", "00101101001011010", "01001100100110101", "00101101011010110", "000010110010101001", "00100101010011010", "01100110110101001", "01001100010101010", "000101001000101001", "00100110101001010", "000011011010100101", "01100101010101110", "00101001001101010", "00110011001010101", "000100110110101100", "00101001101101010", "000100111010101011", "00100101100101010", "01001100101001101", "01001101001011001", "00100110101011010", "00101011001001010", "000101100011011010", "00101011011001010", "000010101100110101", "01010001100101010", "01010110101001110", "01000100101010101", "00100110100101010", "00101010010011010", "00101010101001100", "000111010010110110", "00101010011011010", "01011010101001110", "000101001011001110", "01010110011101010", "000110010010100100", "00101001101010010", "00101011010010110", "00101011001010010", "00101001010110010", "00110101101101010", "000100101010100011", "00101101010010110", "00101100100101010", "00101011010110010", "001001110101100010", "01001001010110110", "000100100110010010", "01001011011010010", "01001011001100101", "00101101100101010", "00110110101101010", "000011010010100101", "000011010101001001", "01001100110100101", "000100110010010010", "00100101010100110", "000101010100100011", "00110110101001010", "000101001100111010", "00101010011010010", "00101001010011010", "000011010110100101", "000110101110100110", "00101010010110010", "00101001101011010", "01010010001010101", "000101000110101011", "00110110101011010", "010001000101100110", "01011010110110110", "00110101001010010", "01001101001100101", "000110110001011010", "00101101010110010", "00101001011001010", "00101001101001010", "000110010100101110", "000101010001101011", "000110001001101010", "00101010100100110", "01010010010010110", "00100110101010110", "000010101010011001", "000100010110010101", "01001010110011001", "01100101100101001", "01010101001000101", "00101101011001010", "01010010110110110", "000010010100101010", "01000101010101101", "01010110010011001", "001110011100101101", "00110100101010010", "000010010101001010", "001001000101010001", "00110101010101100", "00101010100110110", "000101011000101011", "00110101011010010", "00110101101011010", "00101011010011010", "00101011001011010", "000100110100101100", "000011010110101001", "01000101001010101", "00101100101011010", "000100110101110101", "01001001010010110", "00011010101010101", "00110100101001010", "01001010010010110", "01001110010101010", "00101100101101010", "000101010001100110", "01000101010110101", "000110101100101110", "00101101001101010", "00101001010100110", "01001010010110110", "01001010001010101", "00101010010100110", "00100101010101011", "00110101010110110", "001010111101011001", "01001001001101010", "000010010110101010", "000010101010010010", "01001010101110101", "01000101010100101", "01010011100101010", "00110110101010110", "000100100101101011", "00100110010101010", "01001010100010101", "00101010011010110", "01010110001101010", "00101011010100110", "01001010111010101", "000010100100101010", "01101010110110110", "00110101001011010", "01010101100011010", "01010011001011001", "01010001010010101", "01010011010011001", "001000101011011011", "00110100101101010", "000110001010011010", "00101011001010110", "00101010110100110", "01010101010001001", "000101011010100011", "000100101011001100", "01000101101010101", "000011011001010101", "01001100110010101", "01001001010110010", "000110010010010010", "00101101010100110", "000100011010010101", "00101010110010110", "000101011001100100", "01001001101010010", "001001101110010100", "01010001010100101", "000110011011001001", "00101100101010110", "000101010011101101", "000100101000110101", "00110101011010110", "00110101101010110", "000010100101010010", "00101010100110010", "000010010101010110", "00110010010101010", "000101101011011011", "01010010011011010", "000101010010001101", "01001001010011010", "000100010101010100", "01010111010100101", "000101001000110101", "01100110010101001", "01011011010010110", "00110101001010110", "01010110101000101", "00101001010101011", "00101001100101010", "01001101011011010", "01001010010011010", "01010001011010101", "000100110101001100", "00101010011001010", "000101110101101001", "01001001011001010", "000101010011000110", "00101010010101011", "00110100101010110", "00110010101010010", "000100101011100101", "00110101010010110", "00101010100101011", "00101011001101010", "01001001101001010", "000100101011000101", "01001011001001010", "000010110110101010", "00101010110011010", "01010101110100101", "000110001010100110", "000010101010101100", "000011001010101001", "01001011011001010", "000101101001010001", "01010100100100110", "000010101011010010", "01001101101001010", "000101011000100101", "000101000110110101", "00110010100101010", "000100100110010110", "00110110010101010", "00101100110101010", "000101010010101000", "01001010011010010", "000100101101100110", "00101010101001011", "01001010010110010", "000010101101011010", "000100111010100101", "01010001010101001", "01101001010110110", "00110010101101010", "000101001100110100", "01001011010110010", "000100101100110110", "000010110101010010", "00110101010110010", "01001001010100110", "00110010101011010", "01010111010101001", "000100110010110110", "01010100110011001", "001010011101000110", "000101011001101100", "000010101101001010", "000100110010010110", "01001010101010001", "00110010110101010", "001000101101101011", "01001010100100110", "000010101001011010", "00111010101010101", "0000011001101011001", "01011101010101001", "00110101100101010", "000100011001010101", "00100100101010101", "01010010010100110", "001000101010001101", "000110111010100101", "01011010011011010", "00101010101100110", "01001001101010110", "01001101010110110", "00110101001101010", "000101011011000101", "01010010100100110", "000101011101011001", "01001010100110110", "01001101101010110", "00110101010011010", "01010010101010001", "000110011000101010", "01010100101010001", "000010110101011010", "000011001101010101", "00110100110101010", "01010011010110110", "01010110101010001", "000100101010011011", "001101100110111001", "01010101101010001", "01010011011010110", "01010010011010110", "000100110101011011", "01011011010100110", "01001010010100110", "01010010100110110", "000110010011010100", "000100111010101001", "001010111011001100", "01001011001011010", "001100110011101101", "01001011010011010", "000101100010101101", "00100101010101101", "000100110011011010", "01101001010010110", "001001101001110100", "01001101001011010", "000010101101010110", "01011001010110110", "01001010011010110", "00110010101010110", "001110110011011001", "000101100100100110", "01001101011010110", "01010110100100110", "00101010101101101", "01011011001010110", "000100100101010011", "01010101011010001", "000101101010001101", "01011010100110110", "01010110110010110", "00100101001010101", "000010101010010110", "01001001100101010", "001001100011011001", "01010110010010110", "01010110100110110", "00100101010110101", "000101010100010100", "01001100100101010", "00110101010100110", "00100101010010101", "001001101100011001", "01001010110100110", "00100101011010101", "000100100101001001", "000100110110011010", "01001011010100110", "000100101001001001", "000100100010101010", "000101010001010100", "000110100010100101", "00100101010100101", "000101100100110110", "01001010110010110", "000101011010111001", "00010101010101010", "01010010011001010", "001100111001001001", "000110010001010101", "00101011011010101", "01001101001010110", "001000100110011010", "01001010100110010", "000101010111010100", "000101100010110101", "000101011101010100", "00100101101010101", "00101010100100101", "01100101010110110", "001011100111001010", "000010101010110010", "01011010110100110", "001001100101110110", "01100100101010110", "000101011000101001", "000101001110101001", "01000110101010101", "01001010011001010", "00101001010101101", "01001101100101010", "00101010110101101", "00110011010101010", "000101010110011101", "01011001011010110", "01011010110010110", "000100010100101010", "01011001010010110", "00101101101010101", "01010010110100110", "000101001001101011", "000101001101101011", "00101010010101101", "00101011010101101", "010011110100100101", "000010101001101010", "000101010001101001", "01010010110010110", "01001101010110010", "000110010101011101", "000110101110101001", "00101001010010101", "000101010101110100", "01001010110011010", "000100110100101011", "000010101010011010", "001001100110001101", "000110010110011001", "00101001010110101", "01001100101011010", "000110011010011001", "00101010101010011", "000110001001010101", "01001011001101010", "01001101011001010", "00101010100101101", "01010110010011010", "01001100101101010", "000101001001010011", "00100101010101001", "00101101010101101", "00101010010100101", "01010101110010101", "01010101100010101", "010000110010010101", "000100110011010110", "000101100101011011", "000110011011011010", "001001010010001001", "01010101011100101", "000110100010101001", "000101001010010011", "000101010010110001", "00101001011010101", "01011001101011010", "000010110010101010", "01001101010011010", "01010101011000101", "000110101011101001", "00101010010110101", "00101010101001001", "00101101011010101", "01001101001101010", "000100101000101010", "000101000100101010", "00101101010110101", "001000101100110010", "01010010110011010", "001000101010010011", "01010011001011010", "001011010010011100", "00101011010010101", "000110110010010110", "000101011001001011", "000101100100101011", "000110010010011010", "00101101010010101", "00101010110100101", "01001010101100110", "01101001101010110", "000101010010001010", "000010101010100110", "01011001010011010", "00101101010100101", "000110011001010100", "001010001011100101", "001010100010010011", "01001001001010101", "000100100101001101", "000100101011101010", "01010101100100110", "000111010010101101", "01010011010011010", "001011010111001100", "01001100101010110", "00101101001010101", "000100100110101101", "000110001010101101", "001000110110010110", "01010101010111001", "000100101001101101", "000100010101010110", "01010110101100110", "000110110110011010", "000101010111011010", "000100101001001101", "001001010101011110", "01010010101100110", "00101001010101001", "000101010110111010", "01001101010100110", "00101010100101001", "001010011010001100", "000110101101101011", "01010110011010110", "000101010100011001", "001001001010010001", "00101010010101001", "001011001110101100", "01010011001010110", "000101001011001011", "000100110110101101", "000101101010010011", "000100101110101010", "00101010110101001", "00101011010101001", "000101010010100010", "01001001010101101", "000110001010110101", "01010100101100110", "01010110010100110", "001000100100110101", "01010100100100101", "000101000101010010", "01010011010100110", "000100110010101011", "000101001101101101", "000101010110001101", "000011010101010010", "01010100110010110", "000101001001001101", "00100110101010101", "001011110100101010", "00101010101101001", "01011001010100110", "001010110001010001", "001001101110011010", "000101000101001010", "000110110100110010", "01001001010010101", "000110110011010010", "00101101010101001", "01010100110100110", "000101001010001010", "01010101010110001", "000101011010100010", "001000100110110101", "01001001010110101", "01001100110101010", "01001010110110101", "000101010110011011", "000101010100110001", "001001101100010110", "000011010110101010", "001000101101010011", "000011010101001010", "001100101110110010", "000100100110100101", "01001001010100101", "000101001100110010", "000011010101101010", "01001010100100101", "001100100100110011", "000110100110110010", "001000101010001010", "000101011101010010", "001010101001111001", "000100101100100101", "001100100110100010", "000101010101110110", "001010100010110001", "000011010101011010", "01010011001101010", "00101010101001101", "01001001011010101", "001010101110011101", "000011010010101010", "01001011011010101", "01010010010100101", "01001010010101101", "001001010001101011", "000101100011010101", "000100100101011001", "001001101010001011", "01010100110011010", "000110101001110101", "00110110101010101", "000110101100010101", "000101011101011010", "00101001101010101", "000110101000110101", "000100110110100101", "01100101010100110", "000100101010101110", "001000101010111010", "00101010100110101", "000101010110110011", "00101010011010101", "000110001010101001", "01010010010110101", "000100101100101101", "00101010110010101", "000100101011011001", "00101011001010101", "001000110011010110", "001010001100010101", "000101010101101110", "00110101010101101", "001010001101101011", "00101010101100101", "01001010100101101", "000101010100111001", "000101101011101010", "000110101100110110", "010111010110111001", "000101011001101011", "001001001101011101", "010100100111001110", "001011010001110101", "000101011010001010", "000101100100100101", "000100101011001001", "01010110110100101", "01010110100100101", "001001100101110010", "00101100101010101", "001001001001011011", "001010101011100011", "01001010010110101", "01001001010101001", "000100110101001001", "00110101101010101", "001000110101100110", "000010010101010101", "00110101010110101", "000110101100100110", "000101010010111010", "00110101011010101", "000100110110101001", "000101000101010110", "000101001010110011", "00110101010010101", "00110101001010101", "001011001010011100", "01010101001100110", "000100101010100100", "000101011011011001", "000101010110010011", "001010011000100110", "001001100111010110", "000110101010111001", "00110101010100101", "001001010110001011", "01010100100101001", "01000101010101010", "000101100110101011", "000101100101101101", "01010010010101001", "01001010110100101", "00110100101010101", "000101101000101010", "001011001010001011", "001101110101101101", "01010010101001001", "001001011001110010", "000100101001011001", "000100100110010101", "00101010101011001", "001001000101100101", "01010110110101001", "01001011010010101", "000101011101010110", "001000110011001010", "000101010010110011", "000101101101001101", "01010110101001001", "000110011010010110", "01011011010101001", "001010111001001011", "000100101001101001", "000100011010101010", "000110011101010101", "01011010101001001", "000101011011001001", "000101110100101010", "000100101100101001", "010110101110110001", "000101101001001101", "01001010010101001", "01001010100101001", "000100110010010101", "000101001010101110", "010100010010001010", "000101010110101110", "000110101010011011", "000101101010110011", "01010001010101010", "01010101101001001", "001001000101011001", "000101010100010110", "000110100101100110", "000100110100101001", "01010100010101010", "001010010010001101", "01010101110101010", "000100110101101001", "01010101011101010", "01001010110101001", "01010010100101001", "001010100111001100", "000101010010101110", "000101011010101110", "001010001010111010", "000111010101011001", "001100100011010110", "001001001010001101", "000100101010010100", "000100101001010100", "000100110010101101", "001011101001010011", "001001100110001010", "000110100110100110", "00110101010101001", "000101001011011001", "01001010101101001", "000101100101010011", "000111001011010101", "01001011010101001", "001000101101001101", "000101001001101001", "000101001101001001", "000100101001100101", "001010010010011101", "01001001101010101", "001010011011001110", "000010101010101101", "001110100110110010", "000100110110010101", "01011010110101001", "01011010100101001", "001010101000110001", "01001101101010101", "000101001010100100", "00101010101010100", "000110010110011010", "000101010100101110", "001001011001011101", "000101010010100100", "01010110101101001", "001010101101110011", "000101101010101110", "01010110100101001", "001001100011010110", "01101010101001001", "001010001100110010", "01010010101101001", "000110101001101011", "01010010110101001", "000101011001101101", "001001101101000101", "000100101101010100", "000100111010101010", "01010010011010101", "01010011011010101", "001001010101110011", "01001010101001101", "000101011011001101", "01011010101101001", "000010101011010101", "01011010010101001", "001101110100100101", "01010110010010101", "010000101010011010", "001001001100101100", "001001101100110100", "000010101101010101", "001100111011001010", "00110010101010101", "01010101101100101", "001001101011000110", "000010101010100101", "01010100101101001", "001000110110100101", "01001010011010101", "001000101001101001", "000100110101100101", "000101001100100101", "01001010100110101", "001001011101001101", "01001101011010101", "000101010110100100", "000110110101001001", "01001101010110101", "001001001011000101", "001100100110001010", "000100110100110101", "001000101101011001", "01001010110010101", "001001011001101100", "001010010110100011", "000101010101100010", "000100101010011001", "001001100101101100", "01001010101100101", "001000101100101001", "000100100100101010", "001000110100101101", "000101001010010100", "01010010100110101", "000101011001001101", "000110010010010101", "01001101010100101"]

    putStrLn (luntinTaulu!!((read num)-1))